Translation-Import Pass Metadata Reference

Verilog translation-import pass

class pymtl3.passes.backends.verilog.VerilogTranslationImportPass.VerilogTranslationImportPass(debug=False)
__init__(debug=False)

Initialize self. See help(type(self)) for accurate signature.

__call__(top)

Translate-import the PyMTL component hierarhcy rooted at top.

Here are the available input metadata of the Verilog translation-import pass:

class pymtl3.passes.backends.verilog.VerilogTranslationImportPass.VerilogTranslationImportPass(debug=False)
enable = <pymtl3.dsl.MetadataKey.MetadataKey object>

Enable translation-import on the component.

Type: bool; input

Default value: False

Yosys translation-import pass

class pymtl3.passes.backends.yosys.YosysTranslationImportPass.YosysTranslationImportPass(debug=False)
__init__(debug=False)

Initialize self. See help(type(self)) for accurate signature.

__call__(top)

Translate-import the PyMTL component hierarhcy rooted at top.

The available input metadata of the Yosys translation-import pass are the same as those of the Verilog translation-import pass.